ASIR

  • The end of Dennard scaling led to the use of heterogeneous multi-processor systems-on-chip (MPSoCs). Heterogeneous MPSoCs provide a high efficiency in terms of energy and performance due to the fact that each processing element can be optimized for an application task. However, the evolution of MPSoCs shows a growing number of processing elements (PEs), which leads to tremendous communication costs, tending to become the performance bottleneck. Networks-on-chip (NoCs) are a promising and scalable intra-chip communication technology for MPSoCs. However, these technological advances require novel and effective programming methodologies to efficiently exploit them. This work presents a novel router architecture called application-specific instruction-set router (ASIR) for field-programmable-gate-arrays (FPGA)-based MPSoCs. It combines data transfers with application-specific processing by adding high-level synthesized processing units to routers of the NoC. The execution of application-specific operations during data exchange between PEs exploits efficiently the transmission time. Furthermore, the processing units can be programmed in C/C++ using high-level synthesis, and accordingly, they can be specifically optimized for an application. This approach enables transferred data to be processed by a processing element, such as a MicroBlaze processor, before the transmission or by a router during the transmission. Moreover, a static mapping algorithm for applications modeled by a Kahn process network-based graph is introduced that maps tasks to the MicroBlaze processors and processing units. The mapping algorithm optimizes the communication cost by allocating tasks to nearest neighboring PEs. This complete methodology significantly simplifies the design and programming of ASIR-based MPSoCs. Furthermore, it efficiently exploits the heterogeneity of processing capabilities inside the routers and MicroBlaze processors.

Download full text files

Export metadata

Additional Services

Share in Twitter Search Google Scholar
Metadaten
Author:Jens RettkowskiGND, Diana GöhringerORCiDGND
URN:urn:nbn:de:hbz:294-67342
DOI:https://doi.org/10.3390/computers7030038
Parent Title (English):Computers
Subtitle (English):application-specific instruction-set router for NoC-Based MPSoCs
Publisher:MDPI
Place of publication:Basel
Document Type:Article
Language:English
Date of Publication (online):2019/11/18
Date of first Publication:2018/06/27
Publishing Institution:Ruhr-Universität Bochum, Universitätsbibliothek
Tag:Application-Specific Instruction-Set; FPGA; MPSoC; Manycore Systems; Network-on-Chip; high-level synthesis
Volume:7
Issue:3, Article 38
First Page:38-1
Last Page:38-22
Institutes/Facilities:Lehrstuhl für Eingebettete Systeme der Informationstechnik
Arbeitsgruppe für Anwendungsspezifische Multi-Core Architekturen
open_access (DINI-Set):open_access
faculties:Fakultät für Elektrotechnik und Informationstechnik
Licence (English):License LogoCreative Commons - CC BY 4.0 - Attribution 4.0 International